Skip to content
GitLab
Explore
Sign in
Primary navigation
Search or go to…
Project
M
MicroCART
Manage
Activity
Members
Labels
Plan
Issues
Issue boards
Milestones
Wiki
Code
Merge requests
Repository
Branches
Commits
Tags
Repository graph
Compare revisions
Snippets
Deploy
Releases
Model registry
Monitor
Incidents
Analyze
Value stream analytics
Contributor analytics
Repository analytics
Model experiments
Help
Help
Support
GitLab documentation
Compare GitLab plans
Community forum
Contribute to GitLab
Provide feedback
Keyboard shortcuts
?
Snippets
Groups
Projects
Show more breadcrumbs
Distributed Autonomous Networked Control Lab
MicroCART
Commits
01dd1ad3
Commit
01dd1ad3
authored
6 years ago
by
James Talbert
Browse files
Options
Downloads
Patches
Plain Diff
Bitstream generated for PWM_Recorder_Tests, moving to SW land
parent
e3f6debe
No related branches found
No related tags found
No related merge requests found
Changes
2
Hide whitespace changes
Inline
Side-by-side
Showing
2 changed files
quad/vivado_workspace/PWM_Recorder_Tests.tcl
+28
-19
28 additions, 19 deletions
quad/vivado_workspace/PWM_Recorder_Tests.tcl
quad/vivado_workspace/PWM_Recorder_Tests/src/constrs/Zybo-Z7-Master.xdc
+1
-1
1 addition, 1 deletion
...rkspace/PWM_Recorder_Tests/src/constrs/Zybo-Z7-Master.xdc
with
29 additions
and
20 deletions
quad/vivado_workspace/PWM_Recorder_Tests.tcl
+
28
−
19
View file @
01dd1ad3
...
...
@@ -3,7 +3,7 @@
#
# PWM_Recorder_Tests.tcl: Tcl script for re-creating project 'PWM_Recorder_Tests'
#
# Generated by Vivado on Mon Sep 24 1
7
:2
4:49
CDT 2018
# Generated by Vivado on Mon Sep 24 1
8
:2
2:06
CDT 2018
# IP Build 2289599 on Thu Jul 26 21:09:20 MDT 2018
#
# This file contains the Vivado Tcl commands for re-creating the project to the state*
...
...
@@ -138,13 +138,14 @@ set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
set_property -name
"simulator_language"
-value
"Mixed"
-objects $obj
set_property -name
"source_mgmt_mode"
-value
"DisplayOnly"
-objects $obj
set_property -name
"target_language"
-value
"VHDL"
-objects $obj
set_property -name
"webtalk.activehdl_export_sim"
-value
"1"
-objects $obj
set_property -name
"webtalk.ies_export_sim"
-value
"1"
-objects $obj
set_property -name
"webtalk.modelsim_export_sim"
-value
"1"
-objects $obj
set_property -name
"webtalk.questa_export_sim"
-value
"1"
-objects $obj
set_property -name
"webtalk.riviera_export_sim"
-value
"1"
-objects $obj
set_property -name
"webtalk.vcs_export_sim"
-value
"1"
-objects $obj
set_property -name
"webtalk.xsim_export_sim"
-value
"1"
-objects $obj
set_property -name
"webtalk.activehdl_export_sim"
-value
"3"
-objects $obj
set_property -name
"webtalk.ies_export_sim"
-value
"3"
-objects $obj
set_property -name
"webtalk.modelsim_export_sim"
-value
"3"
-objects $obj
set_property -name
"webtalk.questa_export_sim"
-value
"3"
-objects $obj
set_property -name
"webtalk.riviera_export_sim"
-value
"3"
-objects $obj
set_property -name
"webtalk.vcs_export_sim"
-value
"3"
-objects $obj
set_property -name
"webtalk.xcelium_export_sim"
-value
"2"
-objects $obj
set_property -name
"webtalk.xsim_export_sim"
-value
"3"
-objects $obj
set_property -name
"xpm_libraries"
-value
"XPM_CDC XPM_FIFO XPM_MEMORY"
-objects $obj
# Create 'sources_1' fileset (if not found)
...
...
@@ -162,11 +163,19 @@ update_ip_catalog -rebuild
# Set 'sources_1' fileset object
set obj
[
get_filesets sources_1
]
# Import local files from the original project
set files
[
list
\
[
file normalize
"
${origin_dir}
/PWM_Recorder_Tests/PWM_Recorder_Tests.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.vhd"
]
\
]
set imported_files
[
import_files -fileset sources_1 $files
]
# Set 'sources_1' fileset file properties for remote files
# None
# Set 'sources_1' fileset file properties for local files
set file
"hdl/design_1_wrapper.vhd"
set file_obj
[
get_files -of_objects
[
get_filesets sources_1
]
[
list
"*
$file
"
]]
set_property -name
"file_type"
-value
"VHDL"
-objects $file_obj
# Set 'sources_1' fileset properties
set obj
[
get_filesets sources_1
]
...
...
@@ -182,11 +191,7 @@ if {[string equal [get_filesets -quiet constrs_1] ""]} {
set obj
[
get_filesets constrs_1
]
# Add/Import constrs file and set constrs file properties
set file
"
[
file normalize
"
$origin
_dir/PWM_Recorder_Tests/src/constrs/Zybo-Z7-Master.xdc"
]
"
set file_imported
[
import_files -fileset constrs_1
[
list $file
]]
set file
"constrs/Zybo-Z7-Master.xdc"
set file_obj
[
get_files -of_objects
[
get_filesets constrs_1
]
[
list
"*
$file
"
]]
set_property -name
"file_type"
-value
"XDC"
-objects $file_obj
add_files -fileset constrs_1 -norecurse $
{
origin_dir
}
/$
{
_xil_proj_name_
}
/src/constrs/Zybo-Z7-Master.xdc
# Set 'constrs_1' fileset properties
set obj
[
get_filesets constrs_1
]
...
...
@@ -229,6 +234,7 @@ proc cr_bd_design_1 { parentCell } {
set list_check_ips
"
\
xilinx.com:ip:axi_gpio:2.0
\
xilinx.com:ip:processing_system7:5.5
\
user.org:user:pwm_recorder:1.0
\
xilinx.com:ip:proc_sys_reset:5.0
\
"
...
...
@@ -290,6 +296,7 @@ proc cr_bd_design_1 { parentCell } {
set sws_4bits
[
create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 sws_4bits
]
# Create ports
set jb0
[
create_bd_port -dir I jb0
]
# Create instance: axi_gpio_0, and set properties
set axi_gpio_0
[
create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_0
]
...
...
@@ -798,6 +805,9 @@ proc cr_bd_design_1 { parentCell } {
CONFIG.NUM_MI
{
5
}
\
]
$ps7_0_axi_periph
# Create instance: pwm_recorder_0, and set properties
set pwm_recorder_0
[
create_bd_cell -type ip -vlnv user.org:user:pwm_recorder:1.0 pwm_recorder_0
]
# Create instance: rst_ps7_0_50M, and set properties
set rst_ps7_0_50M
[
create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_ps7_0_50M
]
...
...
@@ -813,18 +823,21 @@ proc cr_bd_design_1 { parentCell } {
connect_bd_intf_net -intf_net ps7_0_axi_periph_M01_AXI
[
get_bd_intf_pins axi_gpio_1/S_AXI
]
[
get_bd_intf_pins ps7_0_axi_periph/M01_AXI
]
connect_bd_intf_net -intf_net ps7_0_axi_periph_M02_AXI
[
get_bd_intf_pins axi_gpio_2/S_AXI
]
[
get_bd_intf_pins ps7_0_axi_periph/M02_AXI
]
connect_bd_intf_net -intf_net ps7_0_axi_periph_M03_AXI
[
get_bd_intf_pins axi_gpio_3/S_AXI
]
[
get_bd_intf_pins ps7_0_axi_periph/M03_AXI
]
connect_bd_intf_net -intf_net ps7_0_axi_periph_M04_AXI
[
get_bd_intf_pins ps7_0_axi_periph/M04_AXI
]
[
get_bd_intf_pins pwm_recorder_0/S_AXI
]
# Create port connections
connect_bd_net -net processing_system7_0_FCLK_CLK0
[
get_bd_pins axi_gpio_0/s_axi_aclk
]
[
get_bd_pins axi_gpio_1/s_axi_aclk
]
[
get_bd_pins axi_gpio_2/s_axi_aclk
]
[
get_bd_pins axi_gpio_3/s_axi_aclk
]
[
get_bd_pins processing_system7_0/FCLK_CLK0
]
[
get_bd_pins processing_system7_0/M_AXI_GP0_ACLK
]
[
get_bd_pins ps7_0_axi_periph/ACLK
]
[
get_bd_pins ps7_0_axi_periph/M00_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M01_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M02_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M03_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M04_ACLK
]
[
get_bd_pins ps7_0_axi_periph/S00_ACLK
]
[
get_bd_pins rst_ps7_0_50M/slowest_sync_clk
]
connect_bd_net -net processing_system7_0_FCLK_CLK0
[
get_bd_pins axi_gpio_0/s_axi_aclk
]
[
get_bd_pins axi_gpio_1/s_axi_aclk
]
[
get_bd_pins axi_gpio_2/s_axi_aclk
]
[
get_bd_pins axi_gpio_3/s_axi_aclk
]
[
get_bd_pins processing_system7_0/FCLK_CLK0
]
[
get_bd_pins processing_system7_0/M_AXI_GP0_ACLK
]
[
get_bd_pins ps7_0_axi_periph/ACLK
]
[
get_bd_pins ps7_0_axi_periph/M00_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M01_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M02_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M03_ACLK
]
[
get_bd_pins ps7_0_axi_periph/M04_ACLK
]
[
get_bd_pins ps7_0_axi_periph/S00_ACLK
]
[
get_bd_pins
pwm_recorder_0/s_axi_aclk
]
[
get_bd_pins
rst_ps7_0_50M/slowest_sync_clk
]
connect_bd_net -net processing_system7_0_FCLK_RESET0_N
[
get_bd_pins processing_system7_0/FCLK_RESET0_N
]
[
get_bd_pins rst_ps7_0_50M/ext_reset_in
]
connect_bd_net -net pwm_in_master_0_1
[
get_bd_ports jb0
]
[
get_bd_pins pwm_recorder_0/pwm_in_master
]
connect_bd_net -net rst_ps7_0_50M_interconnect_aresetn
[
get_bd_pins ps7_0_axi_periph/ARESETN
]
[
get_bd_pins rst_ps7_0_50M/interconnect_aresetn
]
connect_bd_net -net rst_ps7_0_50M_peripheral_aresetn
[
get_bd_pins axi_gpio_0/s_axi_aresetn
]
[
get_bd_pins axi_gpio_1/s_axi_aresetn
]
[
get_bd_pins axi_gpio_2/s_axi_aresetn
]
[
get_bd_pins axi_gpio_3/s_axi_aresetn
]
[
get_bd_pins ps7_0_axi_periph/M00_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M01_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M02_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M03_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M04_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/S00_ARESETN
]
[
get_bd_pins rst_ps7_0_50M/peripheral_aresetn
]
connect_bd_net -net rst_ps7_0_50M_peripheral_aresetn
[
get_bd_pins axi_gpio_0/s_axi_aresetn
]
[
get_bd_pins axi_gpio_1/s_axi_aresetn
]
[
get_bd_pins axi_gpio_2/s_axi_aresetn
]
[
get_bd_pins axi_gpio_3/s_axi_aresetn
]
[
get_bd_pins ps7_0_axi_periph/M00_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M01_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M02_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M03_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/M04_ARESETN
]
[
get_bd_pins ps7_0_axi_periph/S00_ARESETN
]
[
get_bd_pins
pwm_recorder_0/s_axi_aresetn
]
[
get_bd_pins
rst_ps7_0_50M/peripheral_aresetn
]
# Create address segments
create_bd_addr_seg -range 0x00010000 -offset 0x41200000
[
get_bd_addr_spaces processing_system7_0/Data
]
[
get_bd_addr_segs axi_gpio_0/S_AXI/Reg
]
SEG_axi_gpio_0_Reg
create_bd_addr_seg -range 0x00010000 -offset 0x41210000
[
get_bd_addr_spaces processing_system7_0/Data
]
[
get_bd_addr_segs axi_gpio_1/S_AXI/Reg
]
SEG_axi_gpio_1_Reg
create_bd_addr_seg -range 0x00010000 -offset 0x41220000
[
get_bd_addr_spaces processing_system7_0/Data
]
[
get_bd_addr_segs axi_gpio_2/S_AXI/Reg
]
SEG_axi_gpio_2_Reg
create_bd_addr_seg -range 0x00010000 -offset 0x41230000
[
get_bd_addr_spaces processing_system7_0/Data
]
[
get_bd_addr_segs axi_gpio_3/S_AXI/Reg
]
SEG_axi_gpio_3_Reg
create_bd_addr_seg -range 0x00010000 -offset 0x43C00000
[
get_bd_addr_spaces processing_system7_0/Data
]
[
get_bd_addr_segs pwm_recorder_0/S_AXI/S_AXI_reg
]
SEG_pwm_recorder_0_S_AXI_reg
# Restore current instance
...
...
@@ -1068,7 +1081,3 @@ set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
current_run -implementation
[
get_runs impl_1
]
puts
"INFO: Project created:
${_xil_proj_name_}
"
make_wrapper -files
[
get_files $
{
origin_dir
}
/$
{
_xil_proj_name_
}
/$
{
_xil_proj_name_
}
.srcs/sources_1/bd/design_1/design_1.bd
]
-top
add_files -norecurse $
{
origin_dir
}
/$
{
_xil_proj_name_
}
/$
{
_xil_proj_name_
}
.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.vhd
This diff is collapsed.
Click to expand it.
quad/vivado_workspace/PWM_Recorder_Tests/src/constrs/Zybo-Z7-Master.xdc
+
1
−
1
View file @
01dd1ad3
...
...
@@ -112,7 +112,7 @@
##Pmod Header JB (Zybo Z7-20 only)
#
set_property -dict { PACKAGE_PIN V8 IOSTANDARD LVCMOS33 } [get_ports { jb
[0]
}]; #IO_L15P_T2_DQS_13 Sch=jb_p[1]
set_property -dict { PACKAGE_PIN V8 IOSTANDARD LVCMOS33 } [get_ports { jb
0
}]; #IO_L15P_T2_DQS_13 Sch=jb_p[1]
#set_property -dict { PACKAGE_PIN W8 IOSTANDARD LVCMOS33 } [get_ports { jb[1] }]; #IO_L15N_T2_DQS_13 Sch=jb_n[1]
#set_property -dict { PACKAGE_PIN U7 IOSTANDARD LVCMOS33 } [get_ports { jb[2] }]; #IO_L11P_T1_SRCC_13 Sch=jb_p[2]
#set_property -dict { PACKAGE_PIN V7 IOSTANDARD LVCMOS33 } [get_ports { jb[3] }]; #IO_L11N_T1_SRCC_13 Sch=jb_n[2]
...
...
This diff is collapsed.
Click to expand it.
Preview
0%
Loading
Try again
or
attach a new file
.
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Save comment
Cancel
Please
register
or
sign in
to comment