Something went wrong on our end
vivado.jou 8.76 KiB
#-----------------------------------------------------------
# Vivado v2017.1 (64-bit)
# SW Build 1846317 on Fri Apr 14 18:54:47 MDT 2017
# IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017
# Start of session at: Sat Dec 9 17:33:42 2017
# Process ID: 3460
# Current directory: /local/ucart/MicroCART
# Command line: vivado
# Log file: /local/ucart/MicroCART/vivado.log
# Journal file: /local/ucart/MicroCART/vivado.jou
#-----------------------------------------------------------
start_gui
open_project /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.xpr
update_compile_order -fileset sources_1
open_bd_design {/local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/quad.bd}
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M10_AXI] [get_bd_nets pwm_signal_out_wkillswitch_3_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_3]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M11_AXI] [get_bd_nets pwm_signal_out_wkillswitch_0_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_0]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M04_AXI] [get_bd_nets pwm_recorder_2_1] [get_bd_cells pwm_recorder_2]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M02_AXI] [get_bd_nets pwm_recorder_0_1] [get_bd_cells pwm_recorder_0]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M09_AXI] [get_bd_nets pwm_signal_out_wkillswitch_2_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_2]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M08_AXI] [get_bd_nets pwm_signal_out_wkillswitch_1_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_1]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M05_AXI] [get_bd_nets pwm_recorder_3_1] [get_bd_cells pwm_recorder_3]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M06_AXI] [get_bd_nets pwm_recorder_4_1] [get_bd_cells pwm_recorder_4]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M07_AXI] [get_bd_nets pwm_recorder_5_1] [get_bd_cells pwm_recorder_5]
startgroup
create_bd_cell -type ip -vlnv user.org:user:pwm_signal_out:1.0 pwm_signal_out_0
endgroup
copy_bd_objs / [get_bd_cells {pwm_signal_out_0}]
copy_bd_objs / [get_bd_cells {pwm_signal_out_0}]
copy_bd_objs / [get_bd_cells {pwm_signal_out_0}]
delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M03_AXI] [get_bd_nets pwm_recorder_1_1] [get_bd_cells pwm_recorder_1]
connect_bd_net [get_bd_ports pwm_out_sm_3] [get_bd_pins pwm_signal_out_3/pwm_out_sm]
connect_bd_net [get_bd_ports pwm_out_sm_2] [get_bd_pins pwm_signal_out_2/pwm_out_sm]
connect_bd_net [get_bd_ports pwm_out_sm_1] [get_bd_pins pwm_signal_out_1/pwm_out_sm]
connect_bd_net [get_bd_ports pwm_out_sm_0] [get_bd_pins pwm_signal_out_0/pwm_out_sm]
startgroup
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_0/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_1/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_2/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_3/S_AXI]
endgroup
regenerate_bd_layout
create_peripheral user.org user pwm_recorder 1.0 -dir /local/ucart/MicroCART/quad/ip_repo
add_peripheral_interface S_AXI -interface_mode slave -axi_type lite [ipx::find_open_core user.org:user:pwm_recorder:1.0]
generate_peripheral -driver -bfm_example_design -debug_hw_example_design [ipx::find_open_core user.org:user:pwm_recorder:1.0]
write_peripheral [ipx::find_open_core user.org:user:pwm_recorder:1.0]
set_property ip_repo_paths {/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_signal_out_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_signal_out_wkillswitch_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/myip_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0} [current_project]
update_ip_catalog -rebuild
ipx::edit_ip_in_project -upgrade true -name edit_pwm_recorder_v1_0 -directory /local/ucart/MicroCART/quad/ip_repo /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0/component.xml
update_compile_order -fileset sources_1
add_files -norecurse -copy_to /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0/src /local/ucart/MicroCART/quad/xps_projects/system/pcores/pwm_recorder_v1_04_a/hdl/vhdl/pwm_rec.vhd
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
ipx::merge_project_changes files [ipx::current_core]
ipx::merge_project_changes hdl_parameters [ipx::current_core]
set_property core_revision 2 [ipx::current_core]
ipx::create_xgui_files [ipx::current_core]
ipx::update_checksums [ipx::current_core]
ipx::save_core [ipx::current_core]
close_project -delete
update_ip_catalog -rebuild -repo_path /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0
startgroup
create_bd_cell -type ip -vlnv user.org:user:pwm_recorder:1.0 pwm_recorder_0
endgroup
copy_bd_objs / [get_bd_cells {pwm_recorder_0}]
copy_bd_objs / [get_bd_cells {pwm_recorder_0}]
copy_bd_objs / [get_bd_cells {pwm_recorder_0}]
copy_bd_objs / [get_bd_cells {pwm_recorder_0}]
copy_bd_objs / [get_bd_cells {pwm_recorder_0}]
copy_bd_objs / [get_bd_cells {pwm_recorder_0}]
connect_bd_net [get_bd_ports pwm_recorder_0] [get_bd_pins pwm_recorder_0/pwm_in_master]
connect_bd_net [get_bd_ports pwm_recorder_1] [get_bd_pins pwm_recorder_1/pwm_in_master]
connect_bd_net [get_bd_ports pwm_recorder_2] [get_bd_pins pwm_recorder_2/pwm_in_master]
set_property location {-20 86} [get_bd_ports pwm_recorder_3]
connect_bd_net [get_bd_ports pwm_recorder_3] [get_bd_pins pwm_recorder_3/pwm_in_master]
delete_bd_objs [get_bd_cells pwm_recorder_6]
connect_bd_net [get_bd_ports pwm_recorder_4] [get_bd_pins pwm_recorder_4/pwm_in_master]
connect_bd_net [get_bd_ports pwm_recorder_5] [get_bd_pins pwm_recorder_5/pwm_in_master]
startgroup
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_0/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_1/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_2/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_3/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_4/S_AXI]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_5/S_AXI]
endgroup
set_property location {1 97 -383} [get_bd_cells pwm_recorder_4]
regenerate_bd_layout
save_bd_design
reset_run synth_1
reset_run quad_xbar_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 4
wait_on_run impl_1
open_run impl_1
file copy -force /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.runs/impl_1/quad_wrapper.sysdef /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf
launch_sdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf
open_bd_design {/local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/quad.bd}
file copy -force /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.runs/impl_1/quad_wrapper.sysdef /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf
launch_sdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf
launch_sdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf