/* Vivado v2017.1 (64-bit) SW Build 1846317 on Fri Apr 14 18:54:47 MDT 2017 IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 Process ID: 3460 License: Customer Current time: 12/9/17 5:34:03 PM CST Time zone: Central Standard Time (US/Central) OS: Red Hat Enterprise Linux Workstation release 6.6 (Santiago) OS Version: 2.6.32-696.16.1.el6.x86_64 OS Architecture: amd64 Available processors (cores): 8 Display: :0.0 Screen size: 3360x1050 Screen resolution (DPI): 96 Available screens: 2 Available disk space: 805 GB Default font: family=Dialog,name=Dialog,style=plain,size=12 Java version: 1.8.0_112 64-bit Java home: /remote/Xilinx/2017.1/Vivado/2017.1/tps/lnx64/jre JVM executable location: /remote/Xilinx/2017.1/Vivado/2017.1/tps/lnx64/jre/bin/java Java library paths: /remote/Xilinx/2017.1/Vivado/2017.1/lib/lnx64.o:/remote/Xilinx/2017.1/Vivado/2017.1/tps/lnx64/jre/lib/amd64:/remote/Xilinx/2017.1/Vivado/2017.1/tps/lnx64/jre/lib/amd64/server:/remote/Xilinx/14.7/ISE/lib/lin64:/remote/Xilinx/14.7/ISE/smartmodel/lin64/installed_lin64/lib:/remote/Xilinx/14.7/ISE/sysgen/lib:/remote/Xilinx/14.7/EDK/lib/lin64:/remote/Xilinx/14.7/common/lib/lin64:/remote/Xilinx/2017.1/Vivado/2017.1/lnx64/tools/dot/lib:/usr/java/packages/lib/amd64:/usr/lib64:/lib64:/lib:/usr/lib User name: ucart User home directory: /local/ucart User working directory: /local/ucart/MicroCART User country: US User language: en User locale: en_US RDI_BASEROOT: /remote/Xilinx/2017.1/Vivado HDI_APPROOT: /remote/Xilinx/2017.1/Vivado/2017.1 RDI_DATADIR: /remote/Xilinx/2017.1/Vivado/2017.1/data RDI_BINDIR: /remote/Xilinx/2017.1/Vivado/2017.1/bin User preferences location: /local/ucart/.Xilinx/Vivado Vivado preferences directory: /local/ucart/.Xilinx/Vivado/2017.1/vivado.xml Vivado layouts directory: /local/ucart/.Xilinx/Vivado/2017.1/layouts PlanAhead jar location: /remote/Xilinx/2017.1/Vivado/2017.1/lib/classes/planAhead.jar Vivado Look & Feel: [Synthetica - the extended Synth Look and Feel. - ui.g.i.H] Engine tmp dir: ./.Xil/Vivado-3460-co3050-12.ece.iastate.edu GUI allocated memory: 159 MB GUI max memory: 3,052 MB Engine allocated memory: 4,934 MB */ // TclEventType: START_GUI // Tcl Message: start_gui // [GUI Memory]: 48 MB (+48098kb) [00:00:06] // [Engine Memory]: 4,934 MB (+5022243kb) [00:00:06] // HMemoryUtils.trashcanNow. Engine heap size: 4,944 MB. GUI used memory: 33 MB. Current time: 12/9/17 5:34:05 PM CST selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.xpr", 0); // q:k (JPanel:JComponent, cg:JFrame) // Opening Vivado Project: /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.xpr. Version: Vivado v2017.1 // bs:g (cg:JFrame): Open Project : addNotify // HMemoryUtils.trashcanNow. Engine heap size: 4,975 MB. GUI used memory: 33 MB. Current time: 12/9/17 5:34:20 PM CST // TclEventType: DEBUG_PROBE_SET_CHANGE // Tcl Message: open_project /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.xpr // TclEventType: MSGMGR_MOVEMSG // TclEventType: FILE_SET_NEW // TclEventType: RUN_COMPLETED // TclEventType: RUN_CURRENT // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: IP_LOCK_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: PROJECT_NEW // [GUI Memory]: 57 MB (+6692kb) [00:00:32] // [GUI Memory]: 62 MB (+2690kb) [00:00:32] // Tcl Message: open_project /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.xpr // Tcl Message: Scanning sources... Finished scanning sources // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_signal_out_1.0'. // Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/remote/Xilinx/2017.1/Vivado/2017.1/data/ip'. // Project name: vivado_workspace; location: /local/ucart/MicroCART/quad/vivado_workspace; part: xc7z010clg400-1 // Tcl Message: open_project: Time (s): cpu = 00:00:18 ; elapsed = 00:00:08 . Memory (MB): peak = 6181.391 ; gain = 143.238 ; free physical = 2179 ; free virtual = 14140 dismissDialog("Open Project"); // bs:g (cg:JFrame) // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // [GUI Memory]: 67 MB (+1207kb) [00:00:37] selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, IP Integrator, Open Block Design]", 7, false); // u:M (JViewport:JComponent, cg:JFrame) expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 2); // u:M (JViewport:JComponent, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_OPEN_BLOCK_DESIGN // bs:g (cg:JFrame): Open Block Design : addNotify // Tcl Message: update_compile_order -fileset sources_1 // TclEventType: LOAD_FEATURE // TclEventType: RSB_PROPERTY_CHANGE // TclEventType: LOAD_FEATURE // TclEventType: RSB_PROPERTY_CHANGE // Tcl Message: open_bd_design {/local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/quad.bd} // Tcl Message: Adding cell -- xilinx.com:ip:processing_system7:5.5 - processing_system7_0 // TclEventType: RSB_PROPERTY_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_PROPERTY_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_PROPERTY_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_PROPERTY_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_PROPERTY_CHANGE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_OPEN_DIAGRAM // [Engine Memory]: 5,217 MB (+37858kb) [00:00:43] // TclEventType: RSB_CONNECTION_CHANGE // WARNING: HTimer (WrapperUtils Delayed Delete Timer) is taking too long to process. Increasing delay to 2000 ms. // HMemoryUtils.trashcanNow. Engine heap size: 5,227 MB. GUI used memory: 45 MB. Current time: 12/9/17 5:34:39 PM CST // Tcl Message: Adding cell -- xilinx.com:ip:axi_protocol_converter:2.1 - auto_pc Successfully read diagram <quad> from BD file </local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/quad.bd> // TclEventType: RSB_CONNECTION_CHANGE // TclEventType: RSB_LOCK_CHANGE // Tcl Message: open_bd_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 6298.539 ; gain = 86.133 ; free physical = 2095 ; free virtual = 14057 // 'bv' command handler elapsed time: 6 seconds dismissDialog("Open Block Design"); // bs:g (cg:JFrame) // [GUI Memory]: 71 MB (+416kb) [00:00:44] selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // B:JideButton (f:CommandBar, cg:JFrame) setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "pw"); // OverlayTextField:JTextField (DefaultOverlayable:JPanel, ResizableWindow:JWindow) setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "pw"); // OverlayTextField:JTextField (DefaultOverlayable:JPanel, ResizableWindow:JWindow) setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "pwm"); // OverlayTextField:JTextField (DefaultOverlayable:JPanel, ResizableWindow:JWindow) // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC // HOptionPane Warning: ''pwm_signal_out_wkillswitch_3' is locked and cannot be customized. (Vivado)' selectButton("PAResourceAtoD.CustomizeRSBBlock_IT_LOCKED_AND_CANNOT_BE_CUSTOMIZED_OK", "OK"); // JButton:AbstractButton (JPanel:JComponent, G:JDialog) // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M10_AXI] [get_bd_nets pwm_signal_out_wkillswitch_3_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_3] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_ANALYSIS_MSG_RESET // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // TclEventType: DG_GRAPH_GENERATED // [GUI Memory]: 75 MB (+789kb) [00:01:00] // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M11_AXI] [get_bd_nets pwm_signal_out_wkillswitch_0_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_0] // HMemoryUtils.trashcanNow. Engine heap size: 5,249 MB. GUI used memory: 48 MB. Current time: 12/9/17 5:34:55 PM CST // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M04_AXI] [get_bd_nets pwm_recorder_2_1] [get_bd_cells pwm_recorder_2] // TclEventType: DG_ANALYSIS_MSG_RESET // [GUI Memory]: 80 MB (+1015kb) [00:01:01] // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: DG_GRAPH_GENERATED // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M02_AXI] [get_bd_nets pwm_recorder_0_1] [get_bd_cells pwm_recorder_0] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M09_AXI] [get_bd_nets pwm_signal_out_wkillswitch_2_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_2] // TclEventType: DG_ANALYSIS_MSG_RESET // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M08_AXI] [get_bd_nets pwm_signal_out_wkillswitch_1_pwm_out_master] [get_bd_cells pwm_signal_out_wkillswitch_1] // TclEventType: DG_GRAPH_GENERATED // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M05_AXI] [get_bd_nets pwm_recorder_3_1] [get_bd_cells pwm_recorder_3] // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // [GUI Memory]: 86 MB (+2082kb) [00:01:11] // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M06_AXI] [get_bd_nets pwm_recorder_4_1] [get_bd_cells pwm_recorder_4] // TclEventType: DG_ANALYSIS_MSG_RESET // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M07_AXI] [get_bd_nets pwm_recorder_5_1] [get_bd_cells pwm_recorder_5] // TclEventType: DG_GRAPH_GENERATED // Elapsed time: 16 seconds selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // B:JideButton (f:CommandBar, cg:JFrame) setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "pwm"); // OverlayTextField:JTextField (DefaultOverlayable:JPanel, ResizableWindow:JWindow) applyEnter(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, (String) null); // O:af (JViewport:JComponent, ResizableWindow:JWindow) // TclEventType: REPORT_IP_STATUS_STALE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: REPORT_IP_STATUS_STALE // TclEventType: RSB_ADD_OBJECT // TclEventType: DG_ANALYSIS_MSG_RESET // Tcl Message: startgroup // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:pwm_signal_out:1.0 pwm_signal_out_0 // Tcl Message: endgroup // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,391 MB. GUI used memory: 49 MB. Current time: 12/9/17 5:35:15 PM CST // [GUI Memory]: 91 MB (+703kb) [00:01:24] // Run Command: RDIResourceCommand.RDICommands_COPY // TclEventType: RSB_SCRIPT_TASK // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_signal_out_0}] // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_signal_out_0}] // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_signal_out_0}] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: delete_bd_objs [get_bd_intf_nets ps7_0_axi_periph_M03_AXI] [get_bd_nets pwm_recorder_1_1] [get_bd_cells pwm_recorder_1] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,431 MB. GUI used memory: 48 MB. Current time: 12/9/17 5:35:30 PM CST // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_out_sm_3] [get_bd_pins pwm_signal_out_3/pwm_out_sm] // [GUI Memory]: 96 MB (+620kb) [00:01:43] // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_out_sm_2] [get_bd_pins pwm_signal_out_2/pwm_out_sm] // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_out_sm_1] [get_bd_pins pwm_signal_out_1/pwm_out_sm] // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_out_sm_0] [get_bd_pins pwm_signal_out_0/pwm_out_sm] // Elapsed time: 34 seconds selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h:k (JPanel:JComponent, cg:JFrame) // w:p (cg:JFrame): Run Connection Automation: addNotify selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (4 out of 4 selected)]", 0, true, true, ui.utils.TriState.True); // J:a (JViewport:JComponent, w:p) - Node selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, w:p) dismissDialog("Run Connection Automation"); // w:p (cg:JFrame) // TclEventType: RSB_SCRIPT_TASK // bs:g (cg:JFrame): Run Connection Automation : addNotify // TclEventType: RSB_SCRIPT_TASK // Tcl Message: startgroup // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_0/S_AXI] // Tcl Message: </pwm_signal_out_0/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C00000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_1/S_AXI] // Tcl Message: </pwm_signal_out_1/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C10000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_2/S_AXI] // Tcl Message: </pwm_signal_out_2/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C20000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_signal_out_3/S_AXI] // Tcl Message: </pwm_signal_out_3/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C30000 [ 64K ]> // Tcl Message: endgroup dismissDialog("Run Connection Automation"); // bs:g (cg:JFrame) selectButton(PAResourceCommand.PACommandNames_REGENERATE_LAYOUT, "System_regenerate_rsb_layout"); // B:JideButton (f:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_REGENERATE_LAYOUT // Tcl Command: 'regenerate_bd_layout' // TclEventType: RSB_LAYOUT_STATE // TclEventType: RSB_REGENERATE_LAYOUT // Tcl Message: regenerate_bd_layout selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_REPORT, "Report"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ac:JMenuItem (JPopupMenu:JComponent, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD // P:g (cg:JFrame): Create and Package New IP: addNotify selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, P:g) selectRadioButton(PAResourceItoN.NewIpWizard_CREATE_NEW_AXI4_IP_CREATE_AXI4, "Create a new AXI4 peripheral. Create an AXI4 IP, driver, software test application, IP Integrator AXI4 VIP simulation and debug demonstration design."); // b:a (a:C, P:g) selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, P:g) // Elapsed time: 138 seconds setText(PAResourceItoN.NewIpWizard_NAME_MYIP, "pwm_recorder"); // X:JTextField (JPanel:JComponent, P:g) // Elapsed time: 31 seconds setText(PAResourceItoN.NewIpWizard_DESCRIPTION_MY_NEW_AXI_IP, "Records the incoming pwm signal and assigns to a register"); // X:JTextField (JPanel:JComponent, P:g) selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, P:g) // Elapsed time: 11 seconds setText(PAResourceItoN.NewIpWizard_NAME, "S_AXI"); // X:JTextField (C:JPanel, P:g) selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, P:g) // Elapsed time: 15 seconds selectRadioButton(PAResourceItoN.NewIpWizard_EDIT_IP, "Edit IP"); // a:JRadioButton (JPanel:JComponent, P:g) selectButton("FINISH", "Finish"); // JButton:AbstractButton (ButtonPanel:JPanel, P:g) // 'q' command handler elapsed time: 203 seconds dismissDialog("Create and Package New IP"); // P:g (cg:JFrame) // Tcl Message: create_peripheral user.org user pwm_recorder 1.0 -dir /local/ucart/MicroCART/quad/ip_repo // Tcl Message: add_peripheral_interface S_AXI -interface_mode slave -axi_type lite [ipx::find_open_core user.org:user:pwm_recorder:1.0] // TclEventType: PACKAGER_OBJECT_ADD // Tcl Message: generate_peripheral -driver -bfm_example_design -debug_hw_example_design [ipx::find_open_core user.org:user:pwm_recorder:1.0] // Tcl Message: write_peripheral [ipx::find_open_core user.org:user:pwm_recorder:1.0] // TclEventType: PROJECT_CHANGE // Tcl Message: set_property ip_repo_paths {/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_signal_out_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_signal_out_wkillswitch_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/myip_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0} [current_project] // TclEventType: CREATE_IP_CATALOG // TclEventType: RSB_BITABEN_IPREPODATA_REFRESH // bs:g (cg:JFrame): Create Peripheral IP : addNotify // TclEventType: RSB_BITABEN_IPREPODATA_REFRESH // TclEventType: CREATE_IP_CATALOG // Tcl Message: update_ip_catalog -rebuild // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_signal_out_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // TclEventType: FILE_SET_NEW // TclEventType: RUN_ADD // TclEventType: RUN_CURRENT // TclEventType: PROJECT_NEW // Tcl Message: ipx::edit_ip_in_project -upgrade true -name edit_pwm_recorder_v1_0 -directory /local/ucart/MicroCART/quad/ip_repo /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0/component.xml // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified // TclEventType: PROJECT_NEW // Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/remote/Xilinx/2017.1/Vivado/2017.1/data/ip'. // TclEventType: FILE_SET_OPTIONS_CHANGE // HMemoryUtils.trashcanNow. Engine heap size: 5,468 MB. GUI used memory: 61 MB. Current time: 12/9/17 5:39:30 PM CST // TclEventType: FILE_SET_OPTIONS_CHANGE // TclEventType: RSB_BITABEN_IPREPODATA_REFRESH // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: FILE_SET_CHANGE // TclEventType: PACKAGER_CURRENT_CORE // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_signal_out_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0'. INFO: [IP_Flow 19-795] Syncing license key meta-data INFO: [IP_Flow 19-793] Syncing display name meta-data INFO: [IP_Flow 19-798] Syncing taxonomy meta-data // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: PACKAGER_MESSAGE_UPDATE // Tcl Message: ipx::edit_ip_in_project: Time (s): cpu = 00:00:13 ; elapsed = 00:00:06 . Memory (MB): peak = 6545.871 ; gain = 41.031 ; free physical = 1980 ; free virtual = 13942 dismissDialog("Create Peripheral IP"); // bs:g (cg:JFrame) // TclEventType: DG_ANALYSIS_MSG_RESET // [Engine Memory]: 5,495 MB (+17803kb) [00:05:39] // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: DG_GRAPH_STALE // Tcl Message: update_compile_order -fileset sources_1 // [GUI Memory]: 102 MB (+1301kb) [00:05:42] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // Elapsed time: 25 seconds expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pwm_recorder_v1_0(arch_imp) (pwm_recorder_v1_0.vhd)]", 1); // B:i (D:JPanel, cg:JFrame) selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pwm_recorder_v1_0(arch_imp) (pwm_recorder_v1_0.vhd), pwm_recorder_v1_0_S_AXI_inst : pwm_recorder_v1_0_S_AXI(arch_imp) (pwm_recorder_v1_0_S_AXI.vhd)]", 2, false); // B:i (D:JPanel, cg:JFrame) selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pwm_recorder_v1_0(arch_imp) (pwm_recorder_v1_0.vhd), pwm_recorder_v1_0_S_AXI_inst : pwm_recorder_v1_0_S_AXI(arch_imp) (pwm_recorder_v1_0_S_AXI.vhd)]", 2, false, false, false, false, false, true); // B:i (D:JPanel, cg:JFrame) - Double Click // HMemoryUtils.trashcanNow. Engine heap size: 5,517 MB. GUI used memory: 67 MB. Current time: 12/9/17 5:40:05 PM CST // Elapsed time: 29 seconds selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - pwm_recorder", 1); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 243, 262); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 71, 291); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 81 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 394, 325); // bI:J (JPanel:JComponent, cg:JFrame) selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pwm_recorder_v1_0(arch_imp) (pwm_recorder_v1_0.vhd)]", 1, true); // B:i (D:JPanel, cg:JFrame) - Node selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pwm_recorder_v1_0(arch_imp) (pwm_recorder_v1_0.vhd)]", 1, true, false, false, false, false, true); // B:i (D:JPanel, cg:JFrame) - Double Click - Node selectCodeEditor("pwm_recorder_v1_0.vhd", 105, 325); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 100, 185); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 80, 213); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 269, 221); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 227, 201); // bI:J (JPanel:JComponent, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) // [GUI Memory]: 109 MB (+2091kb) [00:08:41] // Elapsed time: 19 seconds selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0.vhd", 3); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 372, 304); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 266, 526); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 323, 475); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 16 seconds selectCodeEditor("pwm_recorder_v1_0.vhd", 124, 276); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 90, 286); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE selectCodeEditor("pwm_recorder_v1_0.vhd", 274, 287); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,570 MB. GUI used memory: 67 MB. Current time: 12/9/17 5:43:20 PM CST // WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking too long to process. Increasing delay to 2000 ms. selectCodeEditor("pwm_recorder_v1_0.vhd", 140, 311); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE selectCodeEditor("pwm_recorder_v1_0.vhd", 301, 332); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // [GUI Memory]: 115 MB (+949kb) [00:09:29] // TclEventType: DG_GRAPH_GENERATED // Elapsed time: 13 seconds selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 401, 276); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'c'); // bI:J (JPanel:JComponent, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0.vhd", 3); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 296, 68); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 168, 97); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 188, 83); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 93, 79); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 330, 83); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 296, 214); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 133, 252); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 84, 237); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // HMemoryUtils.trashcanNow. Engine heap size: 5,610 MB. GUI used memory: 66 MB. Current time: 12/9/17 5:44:00 PM CST // TclEventType: DG_GRAPH_GENERATED selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_ANALYSIS_MSG_RESET selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 400, 227); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: DG_GRAPH_GENERATED selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0.vhd", 3); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pwm_recorder_v1_0(arch_imp) (pwm_recorder_v1_0.vhd)]", 1); // B:i (D:JPanel, cg:JFrame) // Elapsed time: 71 seconds selectCodeEditor("pwm_recorder_v1_0.vhd", 192, 227); // bI:J (JPanel:JComponent, cg:JFrame) // [GUI Memory]: 123 MB (+1733kb) [00:11:23] selectCodeEditor("pwm_recorder_v1_0.vhd", 205, 249); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 205, 249, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click selectCodeEditor("pwm_recorder_v1_0.vhd", 150, 83); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 108, 264); // bI:J (JPanel:JComponent, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 152, 279); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0.vhd", 3); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,650 MB. GUI used memory: 66 MB. Current time: 12/9/17 5:45:45 PM CST // TclEventType: DG_GRAPH_GENERATED selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true, false, false, false, true, false); // B:i (D:JPanel, cg:JFrame) - Popup Trigger - Node selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // Z:JMenu (ai:JPopupMenu, cg:JFrame) selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // Z:JMenu (ai:JPopupMenu, cg:JFrame) selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // Z:JMenu (ai:JPopupMenu, cg:JFrame) selectMenuItem(PAResourceCommand.PACommandNames_ADD_SOURCES, "Add Sources..."); // ac:JMenuItem (ai:JPopupMenu, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES // c:g (cg:JFrame): Add Sources: addNotify selectButton("NEXT", "Next >"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) selectButton(PAResourceQtoS.SrcChooserPanel_ADD_OR_CREATE_SOURCE_FILE, "Add"); // B:JideButton (f:CommandBar, c:g) selectMenuItem(PAResourceQtoS.SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT, "Add Files..."); // ac:JMenuItem (ai:JPopupMenu, c:g) // Elapsed time: 14 seconds setFileChooser("/local/ucart/MicroCART/quad/xps_projects/system/pcores/pwm_recorder_v1_04_a/hdl/vhdl/pwm_rec.vhd"); selectButton("FINISH", "Finish"); // JButton:AbstractButton (ButtonPanel:JPanel, c:g) // 'h' command handler elapsed time: 20 seconds dismissDialog("Add Sources"); // c:g (cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // Tcl Message: add_files -norecurse -copy_to /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0/src /local/ucart/MicroCART/quad/xps_projects/system/pcores/pwm_recorder_v1_04_a/hdl/vhdl/pwm_rec.vhd // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,672 MB. GUI used memory: 68 MB. Current time: 12/9/17 5:46:15 PM CST // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // Tcl Message: update_compile_order -fileset sources_1 // WARNING: HTimer (FileMgr Design Graph Update Timer) is taking too long to process. Increasing delay to 3000 ms. // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // Elapsed time: 26 seconds selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0.vhd", 3); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 90, 176); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 64, 126); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 134, 131); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 10 seconds selectButton(RDIResource.HCodeEditor_CLOSE, (String) null); // k:JideButton (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 408, 205); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 32 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 228, 440); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 33 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 272, 408); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 26, 113); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 141, 299); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 23 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 66, 171); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 165, 352); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 71, 173); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 10 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 109, 388); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 12 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 77, 364); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 98, 367); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 208, 425); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 84, 386); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 70, 386); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 151, 400); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 40 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 407, 404); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); typeControlKey(null, null, 'z'); selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 133, 216); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 256, 202); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 19 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 117, 359); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 112, 352); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 144, 340); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 125, 491); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 139, 410); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 107, 393); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 184, 418); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 95, 157); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 111, 536); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 70, 414); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey(null, null, 'z'); selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 134, 427); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 10 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 66, 63); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 68, 409); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 144, 405); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 105, 391); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 129, 374); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 110, 383); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 17, 376); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 165, 427); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 13 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 91, 127); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 159, 173); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 159, 173, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 163, 308); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 176, 168); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 176, 168, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 136, 289); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 125, 196); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 127, 186); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 127, 186, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 169, 350); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 10 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 157, 321); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 26 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 491, 259); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 19 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 110, 249); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 29, 276); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 24, 265); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,712 MB. GUI used memory: 67 MB. Current time: 12/9/17 5:53:30 PM CST // Elapsed time: 17 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 213, 360); // bI:J (JPanel:JComponent, cg:JFrame) // Elapsed time: 10 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 37, 486); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,732 MB. GUI used memory: 113 MB. Current time: 12/9/17 5:53:55 PM CST // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // Tcl Message: update_compile_order -fileset sources_1 // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // Elapsed time: 15 seconds selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 221, 255); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 241, 308); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 325, 174); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // [Engine Memory]: 5,772 MB (+2740kb) [00:20:22] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 250, 405); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 250, 405, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click // HMemoryUtils.trashcanNow. Engine heap size: 5,772 MB. GUI used memory: 67 MB. Current time: 12/9/17 5:54:20 PM CST selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 208, 104); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 208, 104, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 204, 112); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 204, 112, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'c'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 216, 413); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 216, 413, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 144, 132); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 144, 132, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'c'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 256, 424); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 256, 424, false, false, false, false, true); // bI:J (JPanel:JComponent, cg:JFrame) - Double Click typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // HMemoryUtils.trashcanNow. Engine heap size: 5,792 MB. GUI used memory: 67 MB. Current time: 12/9/17 5:54:35 PM CST // TclEventType: DG_GRAPH_GENERATED selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 95, 274); // bI:J (JPanel:JComponent, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0.vhd", 3); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 335, 210); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 420, 258); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 396, 256); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0.vhd", 401, 263); // bI:J (JPanel:JComponent, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0.vhd", 'c'); // bI:J (JPanel:JComponent, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 2); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) typeControlKey((HResource) null, "pwm_recorder_v1_0_S_AXI.vhd", 'v'); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 212, 292); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 111, 294); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 281, 321); // bI:J (JPanel:JComponent, cg:JFrame) // HMemoryUtils.trashcanNow. Engine heap size: 5,812 MB. GUI used memory: 67 MB. Current time: 12/9/17 5:55:05 PM CST selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 106, 354); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 139, 396); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 143, 387); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 129, 396); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 58, 372); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 84, 381); // bI:J (JPanel:JComponent, cg:JFrame) selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 329, 441); // bI:J (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // HMemoryUtils.trashcanNow. Engine heap size: 5,832 MB. GUI used memory: 68 MB. Current time: 12/9/17 5:55:25 PM CST selectCodeEditor("pwm_recorder_v1_0_S_AXI.vhd", 149, 321); // bI:J (JPanel:JComponent, cg:JFrame) expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pwm_recorder_v1_0(arch_imp) (pwm_recorder_v1_0.vhd), pwm_recorder_v1_0_S_AXI_inst : pwm_recorder_v1_0_S_AXI(arch_imp) (pwm_recorder_v1_0_S_AXI.vhd)]", 2); // B:i (D:JPanel, cg:JFrame) selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - pwm_recorder", 1); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // an:k (JViewport:JComponent, cg:JFrame) selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from File Groups Wizard"); // h:k (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_COMPONENT_RESET // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: PACKAGER_OBJECT_CHANGE // Tcl Message: ipx::merge_project_changes files [ipx::current_core] selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // an:k (JViewport:JComponent, cg:JFrame) selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from Customization Parameters Wizard"); // h:k (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_COMPONENT_RESET // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: PACKAGER_MESSAGE_UPDATE // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: PACKAGER_OBJECT_CHANGE // Tcl Message: ipx::merge_project_changes hdl_parameters [ipx::current_core] // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: PACKAGER_MESSAGE_UPDATE // Tcl Message: INFO: [IP_Flow 19-4753] Inferred signal 'reset' from port 's_axi_aresetn' as interface 's_axi_aresetn'. INFO: [IP_Flow 19-4728] Bus Interface 's_axi_aresetn': Added interface parameter 'POLARITY' with value 'ACTIVE_LOW'. INFO: [IP_Flow 19-4753] Inferred signal 'clock' from port 's_axi_aclk' as interface 's_axi_aclk'. selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Ports and Interfaces", 4); // an:k (JViewport:JComponent, cg:JFrame) // TclEventType: PACKAGER_MESSAGE_RESET // TclEventType: PACKAGER_MESSAGE_UPDATE selectButton(PAResourceOtoP.PackagerStepContentPanel_MESSAGES, "1"); // h:k (JPanel:JComponent, cg:JFrame) selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // an:k (JViewport:JComponent, cg:JFrame) selectButton(PAResourceQtoS.ReviewContentPanel_RE_PACKAGE_IP, "Re-Package IP"); // a:JButton (JPanel:JComponent, cg:JFrame) // TclEventType: PACKAGER_OBJECT_CHANGE // Tcl Message: set_property core_revision 2 [ipx::current_core] // Tcl Message: ipx::create_xgui_files [ipx::current_core] // Tcl Message: ipx::update_checksums [ipx::current_core] // Tcl Message: ipx::save_core [ipx::current_core] // bs:g (cg:JFrame): Package IP : addNotify selectButton("PAResourceQtoS.ReviewContentPanel_FINISHED_PACKAGING_SUCCESSFULLY_DO_Yes", "Yes"); // JButton:AbstractButton (JPanel:JComponent, G:JDialog) // TclEventType: FILE_SET_CHANGE // TclEventType: PROJECT_CLOSE // TclEventType: FILE_SET_CHANGE // TclEventType: PROJECT_CLOSE // [GUI Memory]: 132 MB (+3461kb) [00:21:59] // HMemoryUtils.trashcanNow. Engine heap size: 5,809 MB. GUI used memory: 77 MB. Current time: 12/9/17 5:55:54 PM CST // Tcl Message: close_project -delete dismissDialog("Package IP"); // bs:g (cg:JFrame) // TclEventType: CREATE_IP_CATALOG // TclEventType: RSB_BITABEN_IPREPODATA_REFRESH // TclEventType: CREATE_IP_CATALOG // Tcl Message: update_ip_catalog -rebuild -repo_path /local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0 // Tcl Message: INFO: [IP_Flow 19-725] Reloaded user IP repository '/local/ucart/MicroCART/quad/ip_repo/pwm_recorder_1.0' selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // B:JideButton (f:CommandBar, cg:JFrame) setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "pw"); // OverlayTextField:JTextField (DefaultOverlayable:JPanel, ResizableWindow:JWindow) selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "pwm_recorder_v1.0", 0, "pwm_recorder_v1.0", 0, false); // O:af (JViewport:JComponent, ResizableWindow:JWindow) selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "pwm_recorder_v1.0", 0, "pwm_recorder_v1.0", 0, false); // O:af (JViewport:JComponent, ResizableWindow:JWindow) expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "pwm_recorder_v1.0", 0); // O:af (JViewport:JComponent, ResizableWindow:JWindow) selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "pwm_recorder_v1.0", 0, "pwm_recorder_v1.0", 0, false, false, false, false, false, true); // O:af (JViewport:JComponent, ResizableWindow:JWindow) - Double Click // TclEventType: REPORT_IP_STATUS_STALE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: REPORT_IP_STATUS_STALE // TclEventType: RSB_ADD_OBJECT // Tcl Message: startgroup // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:pwm_recorder:1.0 pwm_recorder_0 // Tcl Message: endgroup // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // Run Command: RDIResourceCommand.RDICommands_COPY // TclEventType: RSB_SCRIPT_TASK // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_recorder_0}] // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_recorder_0}] // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_recorder_0}] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_recorder_0}] // TclEventType: RSB_SCRIPT_TASK // TclEventType: DG_GRAPH_GENERATED // TclEventType: RSB_SCRIPT_TASK // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // TclEventType: DG_GRAPH_GENERATED // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_recorder_0}] // TclEventType: RSB_SCRIPT_TASK // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_COPY_OBJECT // TclEventType: RSB_SCRIPT_TASK // Tcl Message: copy_bd_objs / [get_bd_cells {pwm_recorder_0}] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_recorder_0] [get_bd_pins pwm_recorder_0/pwm_in_master] // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_recorder_1] [get_bd_pins pwm_recorder_1/pwm_in_master] // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_recorder_2] [get_bd_pins pwm_recorder_2/pwm_in_master] // Tcl Command: 'set_property location {-20 86} [get_bd_ports pwm_recorder_3]' // TclEventType: RSB_CANVAS_LOCATION // Tcl Message: set_property location {-20 86} [get_bd_ports pwm_recorder_3] // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_recorder_3] [get_bd_pins pwm_recorder_3/pwm_in_master] // Run Command: RDIResourceCommand.RDICommands_DELETE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: REPORT_IP_STATUS_STALE // Tcl Message: delete_bd_objs [get_bd_cells pwm_recorder_6] // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_recorder_4] [get_bd_pins pwm_recorder_4/pwm_in_master] // TclEventType: RSB_CONNECTION_CHANGE // Tcl Message: connect_bd_net [get_bd_ports pwm_recorder_5] [get_bd_pins pwm_recorder_5/pwm_in_master] // Elapsed time: 32 seconds selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h:k (JPanel:JComponent, cg:JFrame) // w:p (cg:JFrame): Run Connection Automation: addNotify selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (6 out of 6 selected)]", 0, true, true, ui.utils.TriState.True); // J:a (JViewport:JComponent, w:p) - Node selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, w:p) dismissDialog("Run Connection Automation"); // w:p (cg:JFrame) // TclEventType: RSB_SCRIPT_TASK // bs:g (cg:JFrame): Run Connection Automation : addNotify // TclEventType: RSB_SCRIPT_TASK // Tcl Message: startgroup // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_0/S_AXI] // TclEventType: RSB_SCRIPT_TASK // Tcl Message: </pwm_recorder_0/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C40000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_1/S_AXI] // Tcl Message: </pwm_recorder_1/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C50000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_2/S_AXI] // Tcl Message: </pwm_recorder_2/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C60000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_3/S_AXI] // Tcl Message: </pwm_recorder_3/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C70000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_4/S_AXI] // Tcl Message: </pwm_recorder_4/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C80000 [ 64K ]> // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config {Master "/processing_system7_0/M_AXI_GP0" intc_ip "/ps7_0_axi_periph" Clk_xbar "Auto" Clk_master "Auto" Clk_slave "Auto" } [get_bd_intf_pins pwm_recorder_5/S_AXI] // Tcl Message: </pwm_recorder_5/S_AXI/S_AXI_reg> is being mapped into </processing_system7_0/Data> at <0x43C90000 [ 64K ]> // Tcl Message: endgroup dismissDialog("Run Connection Automation"); // bs:g (cg:JFrame) // Tcl Command: 'set_property location {1 97 -383} [get_bd_cells pwm_recorder_4]' // TclEventType: RSB_CANVAS_LOCATION // Tcl Message: set_property location {1 97 -383} [get_bd_cells pwm_recorder_4] selectButton(PAResourceCommand.PACommandNames_REGENERATE_LAYOUT, "System_regenerate_rsb_layout"); // B:JideButton (f:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_REGENERATE_LAYOUT // Tcl Command: 'regenerate_bd_layout' // TclEventType: RSB_LAYOUT_STATE // TclEventType: RSB_REGENERATE_LAYOUT // Tcl Message: regenerate_bd_layout selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 20, false); // u:M (JViewport:JComponent, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN // ak:q (cg:JFrame): Save Project: addNotify selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a:JButton (JPanel:JComponent, ak:q) // bs:g (cg:JFrame): Save Constraints : addNotify dismissDialog("Save Project"); // ak:q (cg:JFrame) // TclEventType: RSB_SAVE_DIAGRAM // TclEventType: FILE_SET_CHANGE // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // Tcl Message: save_bd_design // Tcl Message: Wrote : </local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/quad.bd> Wrote : </local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/ui/bd_a7208ac7.ui> // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_SAVE_DIAGRAM // x:aN (cg:JFrame): Synthesis is Out-of-date: addNotify dismissDialog("Save Constraints"); // bs:g (cg:JFrame) // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED selectButton(RDIResource.BaseDialog_YES, "Yes"); // a:JButton (JPanel:JComponent, x:aN) // bs:g (cg:JFrame): Resetting Runs : addNotify // TclEventType: RUN_MODIFY dismissDialog("Synthesis is Out-of-date"); // x:aN (cg:JFrame) // TclEventType: RUN_RESET // TclEventType: RUN_MODIFY // Tcl Message: reset_run synth_1 // TclEventType: RUN_MODIFY // TclEventType: RUN_RESET // TclEventType: RUN_MODIFY // Tcl Message: reset_run quad_xbar_0_synth_1 // f:p (cg:JFrame): Launch Runs: addNotify selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, f:p) // 'cp' command handler elapsed time: 7 seconds dismissDialog("Launch Runs"); // f:p (cg:JFrame) // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // TclEventType: RSB_REMOVE_OBJECT // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4 // TclEventType: RSB_REMOVE_OBJECT // TclEventType: DG_GRAPH_STALE // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // bs:g (cg:JFrame): Generate Bitstream : addNotify // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_REMOVE_OBJECT // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // Tcl Message: Wrote : </local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/quad.bd> // TclEventType: FILE_SET_CHANGE // TclEventType: RSB_SCRIPT_TASK // TclEventType: FILE_SET_CHANGE // TclEventType: PROJ_DESIGN_MODE_SET // Tcl Message: VHDL Output written to : /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/hdl/quad.vhd VHDL Output written to : /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/hdl/quad_wrapper.vhd // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc . // Tcl Message: Exporting to file /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/hw_handoff/quad.hwh Generated Block Design Tcl file /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/hw_handoff/quad_bd.tcl Generated Hardware Definition File /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/hdl/quad.hwdef // Tcl Message: INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP quad_auto_pc_0, cache-ID = 636076100619ff6f; cache size = 4.802 MB. // TclEventType: PROJ_DESIGN_MODE_SET // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: FILE_SET_NEW // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_ADD // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_LAUNCH // TclEventType: DG_ANALYSIS_MSG_RESET // TclEventType: DG_GRAPH_GENERATED // TclEventType: RUN_LAUNCH // TclEventType: RUN_MODIFY // Tcl Message: launch_runs: Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 6906.277 ; gain = 0.000 ; free physical = 1779 ; free virtual = 13752 // Elapsed time: 13 seconds dismissDialog("Generate Bitstream"); // bs:g (cg:JFrame) // TclEventType: RUN_COMPLETED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_COMPLETED // TclEventType: FILE_SET_CHANGE // WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking too long to process. Increasing delay to 2000 ms. // Elapsed time: 65 seconds selectTab((HResource) null, (HResource) null, "Messages", 1); // aF:FrameContainer (ContainerContainer:JideSplitPane, cg:JFrame) // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_COMPLETED // TclEventType: FILE_SET_CHANGE // TclEventType: RUN_COMPLETED // [GUI Memory]: 170 MB (+32963kb) [00:24:40] // TclEventType: RUN_COMPLETED // TclEventType: RUN_STEP_COMPLETED // TclEventType: RUN_COMPLETED // ah:x (cg:JFrame): Bitstream Generation Completed: addNotify // Elapsed time: 176 seconds selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, ah:x) // Run Command: PAResourceCommand.PACommandNames_GOTO_IMPLEMENTED_DESIGN // bs:g (cg:JFrame): Open Implemented Design : addNotify // Tcl Message: open_run impl_1 // TclEventType: READ_XDC_FILE_START // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: READ_XDC_FILE_END // TclEventType: READ_XDC_FILE_START // TclEventType: POWER_CNS_STALE // TclEventType: SDC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // HMemoryUtils.trashcanNow. Engine heap size: 5,886 MB. GUI used memory: 75 MB. Current time: 12/9/17 6:01:20 PM CST // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_REMOVE // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: SDC_CONSTRAINT_ADD // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: LOC_CONSTRAINT_ADD // TclEventType: SIGNAL_MODIFY // TclEventType: READ_XDC_FILE_END // TclEventType: DESIGN_NEW // HMemoryUtils.trashcanNow. Engine heap size: 5,889 MB. GUI used memory: 75 MB. Current time: 12/9/17 6:01:23 PM CST // TclEventType: DESIGN_NEW // [Engine Memory]: 6,065 MB (+4561kb) [00:27:29] // Xgd.load filename: /remote/Xilinx/2017.1/Vivado/2017.1/data/parts/xilinx/zynq/devint/zynq/xc7z010/xc7z010.xgd; ZipEntry: xc7z010_detail.xgd elapsed time: 0.8s // TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED // RouteApi::loadEngineXgd elapsed time: 1.3s // Device: addNotify // DeviceView Instantiated // TclEventType: CURR_DESIGN_SET // Tcl Message: INFO: [Netlist 29-17] Analyzing 205 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2017.1 INFO: [Device 21-403] Loading part xc7z010clg400-1 INFO: [Project 1-570] Preparing netlist for logic optimization // Tcl Message: Read XDEF File: Time (s): cpu = 00:00:00.53 ; elapsed = 00:00:00.52 . Memory (MB): peak = 6992.453 ; gain = 3.000 ; free physical = 1984 ; free virtual = 13522 // Tcl Message: Restored from archive | CPU: 0.550000 secs | Memory: 5.715630 MB | // Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.53 ; elapsed = 00:00:00.52 . Memory (MB): peak = 6992.453 ; gain = 3.000 ; free physical = 1984 ; free virtual = 13522 // Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: A total of 4 instances were transformed. IOBUF => IOBUF (IBUF, OBUFT): 4 instances // TclEventType: DRC_ADDED // Device view-level: 0.0 // Tcl Message: open_run: Time (s): cpu = 00:00:23 ; elapsed = 00:00:13 . Memory (MB): peak = 7168.547 ; gain = 262.270 ; free physical = 1932 ; free virtual = 13460 // TclEventType: DRC_ADDED // TclEventType: METHODOLOGY_ADDED // TclEventType: POWER_UPDATED // TclEventType: TIMING_SUMMARY_UPDATED // 'dI' command handler elapsed time: 18 seconds // Elapsed time: 19 seconds dismissDialog("Open Implemented Design"); // bs:g (cg:JFrame) // RouteApi::initDelayMediator elapsed time: 10.4s // RouteApi: Init Delay Mediator Swing Worker Finished // HMemoryUtils.trashcanNow. Engine heap size: 6,353 MB. GUI used memory: 160 MB. Current time: 12/9/17 6:01:40 PM CST selectGraphicalView(PAResourceOtoP.PAViews_DEVICE, 332192, 122213); // D:a (JViewport:JComponent, cg:JFrame) // Device view-level: 0.3 // [Engine Memory]: 6,374 MB (+5937kb) [00:27:47] // Device view-level: 0.6 // Device view-level: 0.9 // HMemoryUtils.trashcanNow. Engine heap size: 6,374 MB. GUI used memory: 126 MB. Current time: 12/9/17 6:01:43 PM CST // Device view-level: 1.8 // Device view-level: 2.0 // Device view-level: 2.3 // Device view-level: 3.2 // Device view-level: 3.5 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 168 MB. Current time: 12/9/17 6:01:48 PM CST // Device view-level: 3.8 // Device view-level: 4.1 // [GUI Memory]: 179 MB (+358kb) [00:27:54] // Device view-level: 4.4 // Device view-level: 5.0 // Device view-level: 4.7 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 180 MB. Current time: 12/9/17 6:01:49 PM CST // Device view-level: 4.4 // Device view-level: 4.1 // [GUI Memory]: 188 MB (+413kb) [00:27:55] // Device view-level: 3.8 // [GUI Memory]: 200 MB (+1856kb) [00:27:57] // Device view-level: 3.5 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 188 MB. Current time: 12/9/17 6:01:52 PM CST // Device view-level: 3.2 // Device view-level: 2.9 // Device view-level: 3.2 // Device view-level: 3.5 // [GUI Memory]: 211 MB (+1662kb) [00:27:58] // Device view-level: 3.8 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 168 MB. Current time: 12/9/17 6:01:54 PM CST // Device view-level: 4.4 // Device view-level: 4.7 // Device view-level: 4.4 // Device view-level: 4.1 // Device view-level: 3.5 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 184 MB. Current time: 12/9/17 6:01:55 PM CST // Device view-level: 3.2 // Device view-level: 2.9 // Device view-level: 3.2 // Device view-level: 3.5 // Device view-level: 3.8 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 194 MB. Current time: 12/9/17 6:01:56 PM CST // Device view-level: 4.1 // Device view-level: 3.8 // Device view-level: 3.5 // Device view-level: 3.2 // [GUI Memory]: 222 MB (+383kb) [00:28:02] // Device view-level: 3.5 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 231 MB. Current time: 12/9/17 6:01:58 PM CST // Device view-level: 3.8 // [GUI Memory]: 234 MB (+255kb) [00:28:04] // Device view-level: 3.5 // [GUI Memory]: 246 MB (+790kb) [00:28:05] // Device view-level: 3.2 // Device view-level: 2.9 // Device view-level: 2.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 255 MB. Current time: 12/9/17 6:02:01 PM CST // [GUI Memory]: 259 MB (+413kb) [00:28:07] // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 244 MB. Current time: 12/9/17 6:02:02 PM CST // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 186 MB. Current time: 12/9/17 6:02:03 PM CST // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 214 MB. Current time: 12/9/17 6:02:04 PM CST // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 210 MB. Current time: 12/9/17 6:02:05 PM CST // Device view-level: 2.3 // Device view-level: 2.0 // Device view-level: 1.5 // Device view-level: 1.2 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 208 MB. Current time: 12/9/17 6:02:06 PM CST // Device view-level: 0.9 // Device view-level: 0.3 // Device view-level: 0.0 // Device view-level: 0.3 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 140 MB. Current time: 12/9/17 6:02:08 PM CST // Device view-level: 0.6 // Device view-level: 0.9 // Device view-level: 1.2 // Device view-level: 1.5 // Device view-level: 1.8 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 160 MB. Current time: 12/9/17 6:02:10 PM CST // Device view-level: 2.0 // Device view-level: 2.3 // Device view-level: 2.6 // Device view-level: 2.9 // Device view-level: 2.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 181 MB. Current time: 12/9/17 6:02:11 PM CST // Device view-level: 2.0 // Device view-level: 1.8 // Device view-level: 1.5 // Device view-level: 0.9 // Device view-level: 0.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 142 MB. Current time: 12/9/17 6:02:12 PM CST // Device view-level: 0.3 // Device view-level: 0.0 // Device view-level: 0.3 // Device view-level: 0.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 156 MB. Current time: 12/9/17 6:02:13 PM CST // Device view-level: 0.9 // Device view-level: 1.2 // Device view-level: 1.5 // Device view-level: 1.8 // Device view-level: 2.0 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 158 MB. Current time: 12/9/17 6:02:14 PM CST // Device view-level: 2.3 // Device view-level: 2.6 // Device view-level: 2.9 // Device view-level: 3.2 // Device view-level: 2.9 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 181 MB. Current time: 12/9/17 6:02:15 PM CST // Device view-level: 2.3 // Device view-level: 1.8 // Device view-level: 1.5 // Device view-level: 0.9 // Device view-level: 0.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 181 MB. Current time: 12/9/17 6:02:16 PM CST // Device view-level: 0.0 // Device view-level: 0.3 // Device view-level: 0.6 // Device view-level: 1.2 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 179 MB. Current time: 12/9/17 6:02:17 PM CST // Device view-level: 1.5 // Device view-level: 1.8 // Device view-level: 2.0 // Device view-level: 2.3 // Device view-level: 2.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 208 MB. Current time: 12/9/17 6:02:18 PM CST // Device view-level: 2.9 // Device view-level: 3.2 // Device view-level: 3.5 // Device view-level: 3.2 // Device view-level: 2.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 208 MB. Current time: 12/9/17 6:02:19 PM CST // Device view-level: 1.8 // Device view-level: 2.0 // Device view-level: 2.3 // Device view-level: 2.6 // Device view-level: 2.9 // HMemoryUtils.trashcanNow. Engine heap size: 6,376 MB. GUI used memory: 213 MB. Current time: 12/9/17 6:02:20 PM CST // Device view-level: 3.2 // Device view-level: 3.5 // Device view-level: 3.8 // Device view-level: 4.1 // Device view-level: 3.8 // HMemoryUtils.trashcanNow. Engine heap size: 6,397 MB. GUI used memory: 212 MB. Current time: 12/9/17 6:02:23 PM CST // Device view-level: 2.6 // Device view-level: 2.3 // Device view-level: 2.0 // Device view-level: 1.2 // Device view-level: 0.6 // HMemoryUtils.trashcanNow. Engine heap size: 6,397 MB. GUI used memory: 197 MB. Current time: 12/9/17 6:02:23 PM CST // Device view-level: 0.3 // Device view-level: 0.0 // Elapsed time: 49 seconds expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 16); // u:M (JViewport:JComponent, cg:JFrame) collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 16); // u:M (JViewport:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) // Elapsed time: 62 seconds selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_HARDWARE, "Export Hardware..."); // ac:JMenuItem (JPopupMenu:JComponent, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_EXPORT_HARDWARE // X:p (cg:JFrame): Export Hardware: addNotify selectCheckBox(PAResourceItoN.NewExportHardwareDialog_INCLUDE_BITSTREAM, "Include bitstream", true); // g:JCheckBox (JPanel:JComponent, X:p): TRUE selectComboBox(PAResourceItoN.NewExportHardwareDialog_EXPORT_TO, "Choose Location...", 1); // e:JComboBox (JPanel:JComponent, X:p) setFolderChooser("/local/ucart/MicroCART/quad/xsdk_workspace_vivado"); selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, X:p) // 'm' command handler elapsed time: 14 seconds dismissDialog("Export Hardware"); // X:p (cg:JFrame) // Tcl Message: file copy -force /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.runs/impl_1/quad_wrapper.sysdef /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenuItem(PAResourceCommand.PACommandNames_LAUNCH_HARDWARE, "Launch SDK"); // ac:JMenuItem (JPopupMenu:JComponent, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_LAUNCH_HARDWARE // aa:p (cg:JFrame): Launch SDK: addNotify selectComboBox(PAResourceItoN.NewLaunchHardwareDialog_LOCATION_OF_HARDWARE_DESCRIPTION_FILE, "Choose Location...", 1); // e:JComboBox (JPanel:JComponent, aa:p) setFolderChooser("/local/ucart/MicroCART/quad/xsdk_workspace_vivado"); selectComboBox(PAResourceItoN.NewLaunchHardwareDialog_WORKSPACE, "Choose Location...", 1); // e:JComboBox (JPanel:JComponent, aa:p) setFolderChooser("/local/ucart/MicroCART/quad/xsdk_workspace_vivado"); selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, aa:p) // 'o' command handler elapsed time: 7 seconds dismissDialog("Launch SDK"); // aa:p (cg:JFrame) // Tcl Message: launch_sdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf // Tcl Message: INFO: [Vivado 12-393] Launching SDK... INFO: [Vivado 12-417] Running xsdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf INFO: [Vivado 12-3157] SDK launch initiated. Please check console for any further messages. // Elapsed time: 99 seconds selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // k:TdiGroup (j:CodeEditorDocumentPane, cg:JFrame) selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, IP Integrator, Open Block Design]", 7, false); // u:M (JViewport:JComponent, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_OPEN_BLOCK_DESIGN // bs:g (cg:JFrame): Open Block Design : addNotify // TclEventType: RSB_OPEN_DIAGRAM // Tcl Message: open_bd_design {/local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.srcs/sources_1/bd/quad/quad.bd} dismissDialog("Open Block Design"); // bs:g (cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC // M:bs (cg:JFrame): Re-customize IP : addNotify // p:g (cg:JFrame): Re-customize IP: addNotify // cm:p (p:g): Configuration Presets: addNotify dismissDialog("Re-customize IP"); // M:bs (cg:JFrame) // Elapsed time: 59 seconds selectButton("MIO Configuration", "MIO Configuration"); // k:JideButton (ci:ButtonPanel, p:g) expandTreeTable(PAResourceItoN.MIOConfigTreeTablePanel_MIO_CONFIG_TREE_TABLE, "I/O Peripherals ; I/O Peripherals ; I/O Peripherals ; I/O Peripherals ; I/O Peripherals ; I/O Peripherals ; I/O Peripherals ; I/O Peripherals", 1); // aX:cv (JViewport:JComponent, p:g) // HMemoryUtils.trashcanNow. Engine heap size: 6,429 MB. GUI used memory: 194 MB. Current time: 12/9/17 6:07:11 PM CST // Elapsed time: 15 seconds selectTreeTable(PAResourceItoN.MIOConfigTreeTablePanel_MIO_CONFIG_TREE_TABLE, "USB 1 ; ; ; ; ; ; ; ", 5, "USB 1", 0, false); // aX:cv (JViewport:JComponent, p:g) selectTreeTable(PAResourceItoN.MIOConfigTreeTablePanel_MIO_CONFIG_TREE_TABLE, "USB 1 ; MIO 40 .. 51 ; ; ; ; ; ; ", 5, "MIO 40 .. 51", 1, true); // aX:cv (JViewport:JComponent, p:g) - Node selectTreeTable(PAResourceItoN.MIOConfigTreeTablePanel_MIO_CONFIG_TREE_TABLE, "USB 1 ; MIO 40 .. 51 ; ; ; ; ; ; ", 5, "USB 1", 0, true); // aX:cv (JViewport:JComponent, p:g) - Node // Elapsed time: 66 seconds selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a:JButton (JPanel:JComponent, p:g) dismissDialog("Re-customize IP"); // p:g (cg:JFrame) // Elapsed time: 501 seconds selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_HARDWARE, "Export Hardware..."); // ac:JMenuItem (JPopupMenu:JComponent, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_EXPORT_HARDWARE // X:p (cg:JFrame): Export Hardware: addNotify selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, X:p) // 'm' command handler elapsed time: 4 seconds selectButton("PAResourceItoN.NewExportHardwareDialog_EXPORTED_FILE_FOR_THIS_MODULE_WAS_Yes", "Yes"); // JButton:AbstractButton (JPanel:JComponent, H:JDialog) dismissDialog("Export Hardware"); // X:p (cg:JFrame) // Tcl Message: file copy -force /local/ucart/MicroCART/quad/vivado_workspace/vivado_workspace.runs/impl_1/quad_wrapper.sysdef /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenuItem(PAResourceCommand.PACommandNames_LAUNCH_HARDWARE, "Launch SDK"); // ac:JMenuItem (JPopupMenu:JComponent, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_LAUNCH_HARDWARE // aa:p (cg:JFrame): Launch SDK: addNotify selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, aa:p) dismissDialog("Launch SDK"); // aa:p (cg:JFrame) // Tcl Message: launch_sdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf // Tcl Message: INFO: [Vivado 12-393] Launching SDK... INFO: [Vivado 12-417] Running xsdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf INFO: [Vivado 12-3157] SDK launch initiated. Please check console for any further messages. // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC // p:g (cg:JFrame): Re-customize IP: addNotify // Elapsed time: 77 seconds selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a:JButton (JPanel:JComponent, p:g) dismissDialog("Re-customize IP"); // p:g (cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC // p:g (cg:JFrame): Re-customize IP: addNotify selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a:JButton (JPanel:JComponent, p:g) dismissDialog("Re-customize IP"); // p:g (cg:JFrame) // Elapsed time: 667 seconds selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_OPEN_RECENT_FILE, "Open Recent File"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // Z:JMenu (JPopupMenu:JComponent, cg:JFrame) selectMenuItem(PAResourceCommand.PACommandNames_LAUNCH_HARDWARE, "Launch SDK"); // ac:JMenuItem (JPopupMenu:JComponent, cg:JFrame) dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // U:JideMenu (CommandMenuBar:CommandBar, cg:JFrame) // Run Command: PAResourceCommand.PACommandNames_LAUNCH_HARDWARE // aa:p (cg:JFrame): Launch SDK: addNotify selectButton(RDIResource.BaseDialog_OK, "OK"); // a:JButton (JPanel:JComponent, aa:p) dismissDialog("Launch SDK"); // aa:p (cg:JFrame) // Tcl Message: launch_sdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf // Tcl Message: INFO: [Vivado 12-393] Launching SDK... INFO: [Vivado 12-417] Running xsdk -workspace /local/ucart/MicroCART/quad/xsdk_workspace_vivado -hwspec /local/ucart/MicroCART/quad/xsdk_workspace_vivado/quad_wrapper.hdf INFO: [Vivado 12-3157] SDK launch initiated. Please check console for any further messages. // HMemoryUtils.trashcanNow. Engine heap size: 6,429 MB. GUI used memory: 148 MB. Current time: 12/9/17 6:37:10 PM CST